Research Output per year
Research Output 1996 2019
- 1 - 50 out of 190 results
- Publication Year, Title (descending)
23.3 A 3-bit/2UI 27Gb/s PAM-3 Single-Ended Transceiver Using One-Tap DFE for Next-Generation Memory Interface
Park, H., Song, J., Lee, Y., Sim, J., Choi, J. & Kim, C., 2019 Mar 6, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019. Institute of Electrical and Electronics Engineers Inc., p. 382-384 3 p. 8662462. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2019-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12Gb/s over four balanced lines utilizing NRZ braid clock signaling with 100% data payload and spread transition scheme for 8K UHD intra-panel interface
Lee, Y., Choi, Y. J. & Kim, C., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., Vol. 2018-January. p. 287-288 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12-Gb/s Over Four Balanced Lines Utilizing NRZ Braid Clock Signaling With No Data Overhead and Spread Transition Scheme for 8K UHD Intra-Panel Interfaces
Lee, Y., Choi, Y., Song, J., Hwang, S., Bae, S. G., Jun, J. & Kim, C., 2018 Jan 1, (Accepted/In press) In : IEEE Journal of Solid-State Circuits.Research output: Contribution to journal › Article
31% Reduction of power consumption using active inductor at TX and AC termination at RX for a low-power post-LPDDR4 interfaces
Yoo, J., Lee, Y., Choi, Y., Park, H., Lee, C. & Kim, C., 2018 Apr 2, International Conference on Electronics, Information and Communication, ICEIC 2018. Institute of Electrical and Electronics Engineers Inc., Vol. 2018-January. p. 1-4 4 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A Δ∑-Modulator based Spread-Spectrum Clock Generator with Digital Compensation and Calibration for PLL Loop Bandwidth
Bae, S. G., Hwang, S., Song, J., Lee, Y. & Kim, C., 2018 Jun 11, (Accepted/In press) In : IEEE Transactions on Circuits and Systems II: Express Briefs.Research output: Contribution to journal › Article
A 4.5-to-16μW integrated triboelectric energy-harvesting system based on high-voltage dual-input buck converter with MPPT and 70V maximum input voltage
Park, I., Maeng, J., Lim, D., Shim, M., Jeong, J. & Kim, C., 2018 Mar 8, 2018 IEEE International Solid-State Circuits Conference, ISSCC 2018. Institute of Electrical and Electronics Engineers Inc., Vol. 61. p. 146-148 3 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 42nJ/conversion on-demand state-of-charge indicator for miniature IoT Li-ion batteries
Jeong, J., Jeong, S., Kim, C., Sylvester, D. & Blaauw, D., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., Vol. 2018-January. p. 281-282 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 42 nJ/Conversion On-Demand State-of-Charge Indicator for Miniature IoT Li-Ion Batteries
Jeong, J., Jeong, S., Sylvester, D., Blaauw, D. & Kim, C., 2018 Jan 1, (Accepted/In press) In : IEEE Journal of Solid-State Circuits.Research output: Contribution to journal › Article
A near-threshold all-digital PLL with a bootstrapped DCO using low-dropout regulator for mitigating PVT-variations
Lee, S., Jun, J. & Kim, C., 2018 May 29, Proceedings - International SoC Design Conference 2017, ISOCC 2017. Institute of Electrical and Electronics Engineers Inc., p. 180-181 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC
Shim, M., Jeong, S., Myers, P., Bang, S., Shen, J., Kim, C., Sylvester, D., Blaauw, D. & Jung, W., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., Vol. 2018-January. p. 295-296 2 p.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
Fully Integrated Low-Power Energy Harvesting System with Simplified Ripple Correlation Control for System-on-a-Chip Applications
Shim, M., Jeong, J., Maeng, J., Park, I. & Kim, C., 2018 Aug 3, (Accepted/In press) In : IEEE Transactions on Power Electronics.Research output: Contribution to journal › Article
Physically Unclonable Function Using Ring Oscillator Collapse in 0.5 v Near-Threshold Voltage for Low-Power Internet of Things
Yoo, J., Kim, D., Park, H., Shim, M., Lee, C. & Kim, C., 2018 Nov 28, 2018 IEEE International Conference on Consumer Electronics - Asia, ICCE-Asia 2018. Institute of Electrical and Electronics Engineers Inc., 8552142Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12Gb/s over four balanced lines utilizing NRZ braid clock signaling with 100% data payload and spread transition scheme for 8K UHD intra-panel interfaces
Lee, Y., Choi, Y., Bae, S. G., Jun, J., Song, J., Hwang, S. & Kim, C., 2017 Mar 2, 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017. Institute of Electrical and Electronics Engineers Inc., Vol. 60. p. 490-491 2 p. 7870475Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3-Gb/s High-Speed True Random Number Generator Using Common-Mode Operating Comparator and Sampling Uncertainty of D Flip-Flop
Bae, S. G., Kim, Y., Park, Y. & Kim, C., 2017 Feb 1, In : IEEE Journal of Solid-State Circuits. 52, 2, p. 605-610 6 p., 7756633.Research output: Contribution to journal › Article
A 1.3 V input fast-transient-response time digital low-dropout regulator with a VSSa generator for DVFS system
Min, Y. J., Jeong, C. H., Moon, J., Han, Y., Kim, S-W. & Kim, C., 2017, In : IEICE Electronics Express. 14, 13Research output: Contribution to journal › Letter
A 1.62-5.4-Gb/s Receiver for DisplayPort Version 1.2a With Adaptive Equalization and Referenceless Frequency Acquisition Techniques
Hwang, S., Song, J., Lee, Y. & Kim, C., 2017 May 23, (Accepted/In press) In : IEEE Transactions on Circuits and Systems I: Regular Papers.Research output: Contribution to journal › Article
A 1-V 10-Gb/s/pin Single-Ended Transceiver With Controllable Active-Inductor-Based Driver and Adaptively Calibrated Cascaded-Equalizer for Post-LPDDR4 Interfaces
Song, J., Hwang, S., Lee, H. W. & Kim, C., 2017 Jul 27, (Accepted/In press) In : IEEE Transactions on Circuits and Systems I: Regular Papers.Research output: Contribution to journal › Article
A 2-Gb/s/ch Data-Dependent Swing-Limited On-Chip Signaling for Single-Ended Global I/O in SDRAM
You, J., Song, J. & Kim, C., 2017 Oct 1, In : IEEE Transactions on Circuits and Systems II: Express Briefs. 64, 10, p. 1207-1211 5 p., 7279097.Research output: Contribution to journal › Article
A 42nJ/conversion on-demand state-of-charge indicator for miniature IoT Li-ion batteries
Jeong, J., Jeong, S., Kim, C., Sylvester, D. & Blaauw, D., 2017 Aug 10, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C206-C207 8008484Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 5-GHz Subsampling PLL-Based Spread-Spectrum Clock Generator by Calibrating the Frequency Deviation
Bae, S. G., Kim, G. & Kim, C., 2017 Oct 1, In : IEEE Transactions on Circuits and Systems II: Express Briefs. 64, 10, p. 1132-1136 5 p., 7733152.Research output: Contribution to journal › Article
A Low-Power Post-LPDDR4 Interface Using AC Termination at RX and an Active Inductor at TX
Yoo, J., Lee, Y., Choi, Y., Park, H., Park, S. & Kim, C., 2017 Sep 4, (Accepted/In press) In : IEEE Transactions on Circuits and Systems II: Express Briefs.Research output: Contribution to journal › Article
A Near-Threshold Voltage Oriented Digital Cell Library for High-Energy Efficiency and Optimized Performance in 65nm CMOS Process
Jun, J., Song, J. & Kim, C., 2017 Oct 27, (Accepted/In press) In : IEEE Transactions on Circuits and Systems I: Regular Papers.Research output: Contribution to journal › Article
A Spread Spectrum Clock Generator with Nested Modulation Profile for High-Resolution Display System
Jun, J., Bae, S. G., Lee, Y. & Kim, C., 2017 Aug 22, (Accepted/In press) In : IEEE Transactions on Circuits and Systems II: Express Briefs.Research output: Contribution to journal › Article
Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator With Application in a 74.1 dB SNDR and 20 kS/s 15 b SAR ADC
Shim, M., Jeong, S., Myers, P. D., Bang, S., Shen, J., Kim, C., Sylvester, D., Blaauw, D. & Jung, W., 2017 Jan 16, (Accepted/In press) In : IEEE Journal of Solid-State Circuits.Research output: Contribution to journal › Article
A 10 Gbits/s/pin DFE-Less Graphics DRAM Interface With Adaptive-Bandwidth PLL for Avoiding Noise Interference and CIJ Reduction Technique
Song, J., Lee, H. W., Hwang, S. & Kim, C., 2016 Jul 20, (Accepted/In press) In : IEEE Transactions on Very Large Scale Integration (VLSI) Systems.Research output: Contribution to journal › Article
A 32 Gb/s Rx only equalization transceiver with 1-tap speculative FIR and 2-tap direct IIR DFE
Hwang, S., Moon, S., Song, J. & Kim, C., 2016 Sep 21, 2016 IEEE Symposium on VLSI Circuits, VLSI Circuits 2016. Institute of Electrical and Electronics Engineers Inc., Vol. 2016-September. 7573473Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 4 x 5-Gb/s 1.12-μs Locking Time Reference-Less Receiver With Asynchronous Sampling-Based Frequency Acquisition and Clock Shared Subchannels
Song, J., Hwang, S. & Kim, C., 2016 Feb 11, (Accepted/In press) In : IEEE Transactions on Very Large Scale Integration (VLSI) Systems.Research output: Contribution to journal › Article
A digital low-dropout(DLDO) regulator with 14db power supply rejection enhancement
Joung, B. G., Seo, Y. & Kim, C., 2016 Dec 27, ISOCC 2016 - International SoC Design Conference: Smart SoC for Intelligent Things. Institute of Electrical and Electronics Engineers Inc., p. 353-354 2 p. 7799823Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
An add-on type real-time jitter tolerance enhancer for digital communication receivers
Hwang, S., Song, J., Bae, S. G., Lee, Y. & Kim, C., 2016 Mar 1, In : IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 24, 3, p. 1092-1103 12 p., 2435026.Research output: Contribution to journal › Article
An oscillator collapse-based comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC
Shim, M., Jeong, S., Myers, P., Bang, S., Kim, C., Sylvester, D., Blaauw, D. & Jung, W., 2016 Sep 21, 2016 IEEE Symposium on VLSI Circuits, VLSI Circuits 2016. Institute of Electrical and Electronics Engineers Inc., Vol. 2016-September. 7573518Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A Scalable Bandwidth Mismatch Calibration Technique for Time-Interleaved ADCs
Park, Y., Kim, J. & Kim, C., 2016 Nov 1, In : IEEE Transactions on Circuits and Systems I: Regular Papers. 63, 11, p. 1889-1897 9 p., 7676404.Research output: Contribution to journal › Article
Circuit design techniques for multimedia wireline communications
Kim, C., 2016 Jul 19, Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015. Institute of Electrical and Electronics Engineers Inc., 7516917Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
In-cell self-capacitive-type mobile touch system and embedded readout circuit in display driver IC
Jun, J. H., Kim, B. J., Shin, S. K., Jang, K., Baek, J. S. & Kim, C., 2016 Dec 1, In : Journal of Display Technology. 12, 12, p. 1613-1622 10 p., 7731217.Research output: Contribution to journal › Article
Introduction to the January special issue on the 2015 IEEE international solid-state circuits conference
Beigné, E., Shin, J. L., Oike, Y., Kim, C. & Genoe, J., 2016 Jan 1, In : IEEE Journal of Solid-State Circuits. 51, 1, p. 3-7 5 p., 7362114.Research output: Contribution to journal › Editorial
Memory Interfaces: Past, Present, and Future
Kim, C., Lee, H. W. & Song, J., 2016 Mar 1, IEEE Solid-State Circuits Magazine, 8, 2, p. 23-34 12 p.Research output: Contribution to specialist publication › Article
1V 10Gb/s/pin single-ended transceiver with controllable active-inductor-based driver and adaptively calibrated cascade-DFE for post-LPDDR4 interfaces
Song, J., Lee, H. W., Kim, J., Hwang, S. & Kim, C., 2015 Mar 17, Digest of Technical Papers - IEEE International Solid-State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., Vol. 58. p. 320-321 2 p. 7063055Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 0.15 v input energy harvesting charge pump with dynamic body biasing and adaptive dead-time for efficiency improvement
Kim, J., Mok, P. K. T. & Kim, C., 2015 Feb 1, In : IEEE Journal of Solid-State Circuits. 50, 2, p. 414-425 12 p., 6998083.Research output: Contribution to journal › Article
A 100-nW 9.1-ENOB 20-kS/s SAR ADC for portable pulse oximeter
Lee, H., Park, S., Lim, C. & Kim, C., 2015 Apr 1, In : IEEE Transactions on Circuits and Systems II: Express Briefs. 62, 4, p. 357-361 5 p., 7001238.Research output: Contribution to journal › Article
A 250Mb/s to 6Gb/s reference-less clock and data recovery circuit with clock frequency multiplier
Kim, J. Y., Song, J., You, J., Hwang, S., Bae, S. G. & Kim, C., 2015, In : IEEE Transactions on Circuits and Systems II: Express Briefs. PP, 99, 7337379.Research output: Contribution to journal › Article
A 6-bit 2.5-GS/s Time-Interleaved Analog-to-Digital Converter Using Resistor-Array Sharing Digital-to-Analog Converter
Lee, H., Aurangozeb, Park, S., Kim, J. & Kim, C., 2015 Nov 1, In : IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 23, 11, p. 2371-2383 13 p., 6977959.Research output: Contribution to journal › Article
D2ART: Direct Data Accessing from Passive RFID Tag for infra-less, contact-less, and battery-less pervasive computing
Lee, J. G., Kim, S. W., Kim, D. H., Cho, Y., Rieh, J-S., Kang, G., Park, J., Lee, H., Park, S. & Kim, C., 2015 Nov 1, In : Microprocessors and Microsystems. 39, 8, p. 767-781 15 p.Research output: Contribution to journal › Article
Rail-to-rail regulating voltage-controlled oscillator with low supply and ground noise sensitivity
Ko, J., An, C. H., Kwon, C. K., Kim, S-W. & Kim, C., 2015 Nov 19, In : Electronics Letters. 51, 24, p. 1980-1982 3 p.Research output: Contribution to journal › Article
Self-Powered 30 μW to 10 mW Piezoelectric Energy Harvesting System With 9.09 ms/V Maximum Power Point Tracking Time
Shim, M., Kim, J., Jeong, J., Park, S. & Kim, C., 2015 Oct 1, In : IEEE Journal of Solid-State Circuits. 50, 10, p. 2367-2379 13 p., 7206612.Research output: Contribution to journal › Article
Spin-Based Complementary Logic Device Using Datta-Das Transistors
Koo, H. C., Jung, I. & Kim, C., 2015 Sep 1, In : IEEE Transactions on Electron Devices. 62, 9, p. 3056-3060 5 p., 7166301.Research output: Contribution to journal › Article
V-I converter-based voltage-controlled oscillator with improved linear gain characteristic
Ko, J., An, C. H., Kim, C. & Kim, S-W., 2015 Jul 23, In : Electronics Letters. 51, 15, p. 1211-1212 2 p.Research output: Contribution to journal › Article
2.56 GHz sub-harmonically injection-locked PLL with cascaded DLL for multi-phase injection
Choi, C., Hwang, S., Song, J. & Kim, C., 2014 Jan 1, In : Electronics Letters. 50, 24, p. 1803-1804 2 p.Research output: Contribution to journal › Article
23.1 A 0.15V-input energy-harvesting charge pump with switching body biasing and adaptive dead-time for efficiency improvement
Kim, J., Mok, P. K. T. & Kim, C., 2014 Apr 14, Digest of Technical Papers - IEEE International Solid-State Circuits Conference. Vol. 57. p. 394-395 2 p. 6757484Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
23.7 Self-powered 30μW-to-10mW Piezoelectric energy-harvesting system with 9.09ms/V maximum power point tracking time
Shim, M., Kim, J., Jung, J. & Kim, C., 2014 Apr 14, Digest of Technical Papers - IEEE International Solid-State Circuits Conference. Vol. 57. p. 406-407 2 p. 6757490Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
25.3 A 1.35V 5.0Gb/s/pin GDDR5M with 5.4mW standby power and an error-adaptive duty-cycle corrector
Lee, H. W., Song, J., Hyun, S. A., Baek, S., Lim, Y., Lee, J., Park, M., Choi, H., Choi, C., Cha, J., Kim, J., Choi, H., Kwack, S., Kang, Y., Kim, J., Park, J., Kim, J., Cho, J., Kim, C., Kim, Y. & 3 others, , 2014 Apr 14, Digest of Technical Papers - IEEE International Solid-State Circuits Conference. Vol. 57. p. 434-435 2 p. 6757502Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
A 3 Gb/s transmitter with a tapless pre-emphasis CML output driver
Hwang, S., Song, J., Bae, S. G. & Kim, C., 2014 Jan 1, In : Analog Integrated Circuits and Signal Processing. 81, 2, p. 461-469 9 p.Research output: Contribution to journal › Article